当前位置:常识百科馆>游戏数码>电脑>

Ansys如何定义参数变量导入数据文件?

电脑 阅读(1.26W)

软件中可以定义数组或表格参数,并通过导入文件导入外部数据。当有一系列数据需要导入软件进行有限元仿真分析时,需要首先创建Array Parameters(参数变量),然后以加载文件形式,将文件中一系列数据导入创建的数组或表格形式参数中。如做瞬态动力学仿真分析,可以将时变载荷变量数据存入数组参数,将参数施加到有限元模型上,即可进行仿真分析。下面介绍,软件如何定义参数,及将文件内容导入创建的参数。

操作方法

(01)首先启动软件,通过“开始”菜单下,快捷方式启动。

Ansys如何定义参数变量导入数据文件?

(02)启动软件后,在主界面,通过菜单路径:Parameters>Array Parameters>Definne/Edit创建变量。

Ansys如何定义参数变量导入数据文件? 第2张

(03)此时会弹出创建变量窗口,单击“add”按钮,即可进行定义参数界面。

Ansys如何定义参数变量导入数据文件? 第3张

(04)在定义参数界面,设置变量名称为“road”,设置变量类型为“Array”也可以设置为“Table”,建议使用“Table”,接着设置数组行和列数,这里分别设置为10和2,第三项1是页的意思,可以理解为第三个维度,即该变量中数组有1页,每页10行2列,其他可不进行设置,单击“OK”即可,退出该窗口。

Ansys如何定义参数变量导入数据文件? 第4张

(05)此时在参数定义窗口,可以看到新定义的参数,选中后,单击“Edit”,打开编辑界面。

Ansys如何定义参数变量导入数据文件? 第5张

(06)如下图所示,此时可以手动输入参数详细数据,如第一列输入时间变量,第二列输入其他变量,如载荷变量。

Ansys如何定义参数变量导入数据文件? 第6张

(07)接着内容输入完成,通过file下quit退出该窗口,此时介绍的是手动输入数据,下面介绍如何直接读入文件数据。

Ansys如何定义参数变量导入数据文件? 第7张

(08)接下来介绍,读入下图所示格式,txt扩展名文件。

Ansys如何定义参数变量导入数据文件? 第8张

(09)通过菜单路径:Parameters>Array Parameters>Read from file读入文件至参数。

Ansys如何定义参数变量导入数据文件? 第9张

(10)此时弹出下图所示窗口,按图示设置,这次选为“Table”,单击“OK”。

Ansys如何定义参数变量导入数据文件? 第10张

(11)弹出窗口,设置参数名称,接着选择“Browser”,在弹出的文件选择窗口,选择需导入的文件。最后点击ok,会弹出下图3所示错误提示,所以要通过之前介绍方法,新建一个名称为“road1”的“Table”类型参数。

Ansys如何定义参数变量导入数据文件? 第11张
Ansys如何定义参数变量导入数据文件? 第12张
Ansys如何定义参数变量导入数据文件? 第13张

(12)最后,首先通过Parameters>Array Parameters>Definne/Edit创建road1名称,table参数,如下图,接着通过Parameters>Array Parameters>Read from file读入文件至参数,最后查看road1数据如下图3所示,说明读入成功。

Ansys如何定义参数变量导入数据文件? 第14张
Ansys如何定义参数变量导入数据文件? 第15张
Ansys如何定义参数变量导入数据文件? 第16张